Tags
Language
Tags
March 2024
Su Mo Tu We Th Fr Sa
25 26 27 28 29 1 2
3 4 5 6 7 8 9
10 11 12 13 14 15 16
17 18 19 20 21 22 23
24 25 26 27 28 29 30
31 1 2 3 4 5 6

Micro-Electronics and Telecommunication Engineering: Proceedings of 7th ICMETE 2023

Posted By: AvaxGenius
Micro-Electronics and Telecommunication Engineering: Proceedings of 7th ICMETE 2023

Micro-Electronics and Telecommunication Engineering: Proceedings of 7th ICMETE 2023 by Devendra Kumar Sharma, Sheng-Lung Peng, Rohit Sharma, Gwanggil Jeon
English | PDF EPUB (True) | 2024 | 811 Pages | ISBN : 9819995612 | 138.4 MB

The book presents high-quality papers from the Seventh International Conference on Microelectronics and Telecommunication Engineering (ICMETE 2023). It discusses the latest technological trends and advances in major research areas such as microelectronics, wireless communications, optical communication, signal processing, image processing, Big Data, cloud computing, artificial intelligence, and sensor network applications. This book includes the contributions of national/international scientists, researchers, and engineers from both academia and the industry. The contents of this book will be useful to researchers, professionals, and students alike.

RF-Frontend Design for Process-Variation-Tolerant Receivers

Posted By: AvaxGenius
RF-Frontend Design for Process-Variation-Tolerant Receivers

RF-Frontend Design for Process-Variation-Tolerant Receivers by Pooyan Sakian , Reza Mahmoudi , Arthur van Roermund
English | PDF (True) | 2012 | 181 Pages | ISBN : 1461421217 | 7.1 MB

This book discusses a number of challenges faced by designers of wireless receivers, given complications caused by the shrinking of electronic and mobile devices circuitry into ever-smaller sizes and the resulting complications on the manufacturability, production yield, and the end price of the products. The authors describe the impact of process technology on the performance of the end product and equip RF designers with countermeasures to cope with such problems. The mechanisms by which these problems arise are analyzed in detail and novel solutions are provided, including design guidelines for receivers with robustness to process variations and details of circuit blocks that obtain the required performance level.

Mathematics for Circuits and Filters

Posted By: hill0
Mathematics for Circuits and Filters

Mathematics for Circuits and Filters
English | 2022 | ISBN: 0849300525 | 274 Pages | EPUB | 10 MB

Handbook of Real-Time Computing (Repost)

Posted By: AvaxGenius
Handbook of Real-Time Computing (Repost)

Handbook of Real-Time Computing by Yu-Chu Tian, David Charles Levy
English | PDF EPUB (True) | 2022 | 1511 Pages | ISBN : 9812872507 | 164.5 MB

The aim of this handbook is to summarize the recent rapidly developed real-time computing technologies, from theories to applications. This handbook benefits the readers as a full and quick technical reference with a high-level historic review of technology, detailed technical descriptions and the latest practical applications. In general, the handbook is divided into three main parts (subjected to be modified): theory, design, and application covering different but not limited to the following topics:

Silicon-Based High-Sensitivity Broadband Receiver

Posted By: AvaxGenius
Silicon-Based High-Sensitivity Broadband Receiver

Silicon-Based High-Sensitivity Broadband Receiver by Xiaojun Bi
English | PDF EPUB (True) | 2024 | 186 Pages | ISBN : 981970880X | 57.6 MB

This book presents various design theories and methodologies for silicon-based high-sensitivity broadband receivers, including millimeter-wave radiometer chips and photoelectric receivers, which are core elements in imaging systems, data centers, and telecommunication infrastructures. As a key module in application systems, the high-sensitivity broadband receiver, not only attracts the attention of engineers and researchers in the radio-frequency and optoelectronic fields, but also garners significant interest from other disciplines, including optics, communications, and security.

Computational Intelligence in Digital and Network Designs and Applications

Posted By: AvaxGenius
Computational Intelligence in Digital and Network Designs and Applications

Computational Intelligence in Digital and Network Designs and Applications by Mourad Fakhfakh, Esteban Tlelo-Cuautle, Patrick Siarry
English | PDF (True) | 2015 | 360 Pages | ISBN : 3319200704 | 12.7 MB

This book explains the application of recent advances in computational intelligence – algorithms, design methodologies, and synthesis techniques – to the design of integrated circuits and systems. It highlights new biasing and sizing approaches and optimization techniques and their application to the design of high-performance digital, VLSI, radio-frequency, and mixed-signal circuits and systems.

Fault Injection Techniques and Tools for Embedded Systems Reliability Evaluation

Posted By: AvaxGenius
Fault Injection Techniques and Tools for Embedded Systems Reliability Evaluation

Fault Injection Techniques and Tools for Embedded Systems Reliability Evaluation by Alfredo Benso, Paolo Prinetto
English | PDF | 2003 | 242 Pages | ISBN : 1402075898 | 9.9 MB

Fault Injection Techniques and Tools for Embedded Systems Reliability Evaluation intends to be a comprehensive guide to Fault Injection techniques used to evaluate the dependability of a digital system. The description and the critical analysis of different Fault Injection techniques and tools will be authored by key scientists in the field of system dependability and fault tolerance.

Research Perspectives and Case Studies in System Test and Diagnosis

Posted By: AvaxGenius
Research Perspectives and Case Studies in System Test and Diagnosis

Research Perspectives and Case Studies in System Test and Diagnosis by John W. Sheppard , William R. Simpson
English | PDF | 1998 | 240 Pages | ISBN : 0792382633 | 22.5 MB

"System level testing is becoming increasingly important. It is driven by the incessant march of complexity … which is forcing us to renew our thinking on the processes and procedures that we apply to test and diagnosis of systems. In fact, the complexity defines the system itself which, for our purposes, is ¿any aggregation of related elements that together form an entity of sufficient complexity for which it is impractical to treat all of the elements at the lowest level of detail . System approaches embody the partitioning of problems into smaller inter-related subsystems that will be solved together. Thus, words like hierarchical, dependence, inference, model, and partitioning are frequent throughout this text. Each of the authors deals with the complexity issue in a similar fashion, but the real value in a collected work such as this is in the subtle differences that may lead to synthesized approaches that allow even more progress.

Formal Equivalence Checking and Design Debugging

Posted By: AvaxGenius
Formal Equivalence Checking and Design Debugging

Formal Equivalence Checking and Design Debugging by Shi-Yu Huang , Kwang-Ting (Tim) Cheng
English | PDF | 1998 | 238 Pages | ISBN : 079238184X | 16.7 MB

Formal Equivalence Checking and Design Debugging covers two major topics in design verification: logic equivalence checking and design debugging. The first part of the book reviews the design problems that require logic equivalence checking and describes the underlying technologies that are used to solve them. Some novel approaches to the problems of verifying design revisions after intensive sequential transformations such as retiming are described in detail.

The e Hardware Verification Language

Posted By: AvaxGenius
The e Hardware Verification Language

The e Hardware Verification Language by Sasan Iman , Sunita Joshi
English | PDF | 2004 | 352 Pages | ISBN : 1402080239 | 11.4 MB

I am glad to see this new book on the e language and on verification. I am especially glad to see a description of the e Reuse Methodology (eRM). The main goal of verification is, after all, finding more bugs quicker using given resources, and verification reuse (module-to-system, old-system-to-new-system etc. ) is a key enabling component. This book offers a fresh approach in teaching the e hardware verification language within the context of coverage driven verification methodology. I hope it will help the reader und- stand the many important and interesting topics surrounding hardware verification. Yoav Hollander Founder and CTO, Verisity Inc. Preface This book provides a detailed coverage of the e hardware verification language (HVL), state of the art verification methodologies, and the use of e HVL as a facilitating verification tool in implementing a state of the art verification environment. It includes comprehensive descriptions of the new concepts introduced by the e language, e language syntax, and its as- ciated semantics. This book also describes the architectural views and requirements of verifi- tion environments (randomly generated environments, coverage driven verification environments, etc. ), verification blocks in the architectural views (i. e. generators, initiators, c- lectors, checkers, monitors, coverage definitions, etc. ) and their implementations using the e HVL. Moreover, the e Reuse Methodology (eRM), the motivation for defining such a gui- line, and step-by-step instructions for building an eRM compliant e Verification Component (eVC) are also discussed.

Communications, Signal Processing, and Systems

Posted By: AvaxGenius
Communications, Signal Processing, and Systems

Communications, Signal Processing, and Systems: Proceedings of the 12th International Conference on Communications, Signal Processing, and Systems: Volume 1 by Wei Wang, Xin Liu, Zhenyu Na, Baoju Zhang
English | PDF EPUB (True) | 2024 | 610 Pages | ISBN : 9819975395 | 124 MB

This book brings together papers presented at the 2023 International Conference on Communications, Signal Processing, and Systems, which provides a venue to disseminate the latest developments and to discuss the interactions and links between these multidisciplinary fields. Spanning topics ranging from Communications, Signal Processing, and Systems, this book is aimed at undergraduate and graduate students in Electrical Engineering, Computer Science and Mathematics, researchers and engineers from academia and industry as well as government employees (such as NSF, DOD, DOE).

Systematic Design of Sigma-Delta Analog-to-Digital Converters

Posted By: AvaxGenius
Systematic Design of Sigma-Delta Analog-to-Digital Converters

Systematic Design of Sigma-Delta Analog-to-Digital Converters by Ovidiu Bajdechi , Johan H. Huijsing
English | PDF | 2004 | 203 Pages | ISBN : 1402079451 | 17.6 MB

Systematic Design of Sigma-Delta Analog-to-Digital Converters describes the issues related to the sigma-delta analog-to-digital converters (ADCs) design in a systematic manner: from the top level of abstraction represented by the filters defining signal and noise transfer functions (STF, NTF), passing through the architecture level where topology-related performance is calculated and simulated, and finally down to parameters of circuit elements like resistors, capacitors, and amplifier transconductances used in individual integrators. The systematic approach allows the evaluation of different loop filters (order, aggressiveness, discrete-time or continuous-time implementation) with quantizers varying in resolution. Topologies explored range from simple single loops to multiple cascaded loops with complex structures including more feedbacks and feedforwards. For differential circuits, with switched-capacitor integrators for discrete-time (DT) loop filters and active-RC for continuous-time (CT) ones, the passive integrator components are calculated and the power consumption is estimated, based on top-level requirements like harmonic distortion and noise budget.

Design and Analysis of High Efficiency Line Drivers for xDSL

Posted By: AvaxGenius
Design and Analysis of High Efficiency Line Drivers for xDSL

Design and Analysis of High Efficiency Line Drivers for xDSL by Tim Piessens , Michiel Steyaert
English | PDF | 2004 | 248 Pages | ISBN : 1402077270 | 3.8 MB

Design and Analysis of High Efficiency Line Drivers for xDSL covers the most important building block of an xDSL (ADSL, VDSL, …) system: the line driver. Traditional Class AB line drivers consume more than 70% of the total power budget of state-of-the-art ADSL modems. This book describes the main difficulties in designing line drivers for xDSL. The most important specifications are elaborated staring from the main properties of the channel and the signal properties. The traditional (class AB), state-of-the-art (class G) and future technologies (class K) are discussed.

Verilog® Quickstart: A Practical Guide to Simulation and Synthesis in Verilog

Posted By: AvaxGenius
Verilog® Quickstart: A Practical Guide to Simulation and Synthesis in Verilog

Verilog® Quickstart: A Practical Guide to Simulation and Synthesis in Verilog by James M. Lee
English | PDF | 1999 | 337 Pages | ISBN : 0792385152 | 3 MB

From a review of the Second Edition
'If you are new to the field and want to know what "all this Verilog stuff is about," you've found the golden goose. The text here is straight forward, complete, and example rich -mega-multi-kudos to the author James Lee. Though not as detailed as the Verilog reference guides from Cadence, it likewise doesn't suffer from the excessive abstractness those make you wade through. This is a quick and easy read, and will serve as a desktop reference for as long as Verilog lives. Best testimonial: I'm buying my fourth and fifth copies tonight (I've loaned out/lost two of my others).'
Zach Coombes, AMD

Interconnect-Centric Design for Advanced SOC and NOC (Repost)

Posted By: AvaxGenius
Interconnect-Centric Design for Advanced SOC and NOC (Repost)

Interconnect-Centric Design for Advanced SOC and NOC by Jari Nurmi, Hannu Tenhunen, Jouni Isoaho, Axel Jantsch
English | PDF | 2004 | 450 Pages | ISBN : 1402078358 | 7.9 MB

In Interconnect-centric Design for Advanced SoC and NoC, we have tried to create a comprehensive understanding about on-chip interconnect characteristics, design methodologies, layered views on different abstraction levels and finally about applying the interconnect-centric design in system-on-chip design.